1、一个4位串行数据输入位移寄存器,时钟脉冲为1kHZ,要转化为4位并行数据输出要经的时间为()

A.8ms B.4ms C.8μs D.4μs

时间:2023-03-01 13:42:45

相似题目