实验 2 :译码器的设计 任务及要求 【第一部分】10分 1、 在 QuartusII 平台上,采用文本输入设计方法,通过编写 VHDL 语言程序,完成 3-8 译码器的设计并进行时序仿真。 2、 设计完成后生成一个元件,以供更高层次的设计调用。 【第二部分】 10 分 1、 将程序修改成 4 输入 8 输出的译码器,译码输出值自定义()

时间:2023-08-05 00:31:24

相似题目