在VHDL中,条件信号赋值语句WHEN_ELSE语句属于()语句。

A.顺序 B.并行和顺序 C.并行 D.不存在的

时间:2023-03-13 14:29:53

相似题目