在Verilog HDL中,wire是一种线网型变量,reg是一种寄存器型变量。 ( )

对 错

时间:2023-02-21 12:00:13

相似题目